Loading…

Computing platforms for software-defined radio

This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros a...

Full description

Saved in:
Bibliographic Details
Corporate Author: SpringerLink (Online service)
Other Authors: Hussain, Waqar, Nurmi, Jari, Isoaho, Jouni, Garzia, F.
Format: eBook
Language:English
Published: Cham, Switzerland : Springer, 2017.
Physical Description:
1 online resource.
Subjects:
Online Access:SpringerLink - Click here for access
Contents:
  • Chapter1. The Evolution of Software Defined Radio
  • An Introduction
  • Part I: Architectures, Designs and Implementations
  • Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms
  • Chapter3. The CoreVA-MPSoC
  • A Multiprocessor Platform for Software-Defined Radio
  • Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array
  • Chapter5. Reconfigurable Multiprocessor Systems-on-Chip
  • Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms
  • Part II: Software-based Radio Cognition and Implementation Tools
  • Chapter7. Application of the Scalable Communications Core as an SDR Baseband
  • Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors
  • Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna
  • Chapter10. Synchronization in NC-OFDM-Based CR Platforms
  • Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms
  • Chapter12. The Future of Software-Defined Radio-Recommendations.