Loading…

Computing platforms for software-defined radio

This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros a...

Full description

Saved in:
Bibliographic Details
Corporate Author: SpringerLink (Online service)
Other Authors: Hussain, Waqar, Nurmi, Jari, Isoaho, Jouni, Garzia, F.
Format: eBook
Language:English
Published: Cham, Switzerland : Springer, 2017.
Physical Description:
1 online resource.
Subjects:
Online Access:SpringerLink - Click here for access

MARC

LEADER 00000cam a2200000Mi 4500
001 ocn967681745
003 OCoLC
005 20170225053640.5
006 m o d
007 cr |n|||||||||
008 170105s2017 sz ob 000 0 eng d
019 |a 967512001  |a 967840338  |a 967844355  |a 970734185  |a 971040738  |a 971088880 
020 |a 9783319496795  |q (electronic bk.) 
020 |a 3319496794  |q (electronic bk.) 
020 |z 9783319496788 
020 |z 3319496786 
035 |a (OCoLC)967681745  |z (OCoLC)967512001  |z (OCoLC)967840338  |z (OCoLC)967844355  |z (OCoLC)970734185  |z (OCoLC)971040738  |z (OCoLC)971088880 
037 |a 985118  |b MIL 
040 |a YDX  |b eng  |e pn  |c YDX  |d N$T  |d GW5XE  |d N$T  |d IDEBK  |d AZU  |d OCLCF  |d UAB  |d COO  |d OCLCQ 
049 |a COM6 
050 4 |a TK5103.4875 
072 7 |a TEC  |x 009070  |2 bisacsh 
082 0 4 |a 621.384  |2 23 
245 0 0 |a Computing platforms for software-defined radio /  |c Waqar Hussain, Jari Nurmi, Jouni Isoaho, Fabio Garzia, editors. 
264 1 |a Cham, Switzerland :  |b Springer,  |c 2017. 
300 |a 1 online resource. 
336 |a text  |b txt  |2 rdacontent. 
337 |a computer  |b c  |2 rdamedia. 
338 |a online resource  |b cr  |2 rdacarrier. 
504 |a Includes bibliographical references. 
588 0 |a Print version record. 
520 |a This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros and cons of the proposed solutions. Coverage includes architectures exploiting parallelism by extending single-processor environment (such as VLIW, SIMD, TTA approaches), multi-core platforms distributing the computation to either a homogeneous array or a set of specialized heterogeneous processors, and architectures exploiting fine-grained, coarse-grained, or hybrid reconfigurability. Describes a computer engineering approach to SDR baseband processing hardware; Discusses implementation of numerous compute-intensive signal processing algorithms on single and multicore platforms; Enables deep understanding of optimization techniques related to power and energy consumption of multicore platforms using several basic and high-level performance indicators; Includes prototyping details of single and multicore platforms on ASICs and FPGAs. 
505 0 |a Chapter1. The Evolution of Software Defined Radio -- An Introduction -- Part I: Architectures, Designs and Implementations -- Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms -- Chapter3. The CoreVA-MPSoC -- A Multiprocessor Platform for Software-Defined Radio -- Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array -- Chapter5. Reconfigurable Multiprocessor Systems-on-Chip -- Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms -- Part II: Software-based Radio Cognition and Implementation Tools -- Chapter7. Application of the Scalable Communications Core as an SDR Baseband -- Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors -- Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna -- Chapter10. Synchronization in NC-OFDM-Based CR Platforms -- Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms -- Chapter12. The Future of Software-Defined Radio-Recommendations. 
650 0 |a Software radio.  |0 https://id.loc.gov/authorities/subjects/sh2001008436. 
650 7 |a TECHNOLOGY & ENGINEERING  |x Mechanical.  |2 bisacsh. 
650 7 |a Software radio.  |2 fast  |0 (OCoLC)fst01124214. 
655 4 |a Electronic books. 
700 1 |a Hussain, Waqar.  |0 https://id.loc.gov/authorities/names/no2017036103. 
700 1 |a Nurmi, Jari.  |0 https://id.loc.gov/authorities/names/no2004013744. 
700 1 |a Isoaho, Jouni. 
700 1 |a Garzia, F.  |0 https://id.loc.gov/authorities/names/nb2007015771. 
710 2 |a SpringerLink (Online service)  |0 https://id.loc.gov/authorities/names/no2005046756. 
776 0 8 |i Print version:  |t Computing platforms for software-defined radio.  |d Cham, Switzerland : Springer, 2017  |z 3319496786  |z 9783319496788  |w (OCoLC)961008241. 
907 |a .b54080940  |b multi  |c -  |d 170302  |e 230110 
998 |a cue  |a cu  |b 170302  |c m  |d z   |e -  |f eng  |g sz   |h 0  |i 1 
948 |a MARCIVE Overnight, in 2023.01 
948 |a MARCIVE Comp, 2019.12 
948 |a MARCIVE Comp, 2018.05 
948 |a MARCIVE August, 2017 
948 |a MARCIVE Aug 5, 2017 
994 |a 92  |b COM 
995 |a Loaded with m2btab.ltiac in 2023.01 
995 |a Loaded with m2btab.ltiac in 2019.12 
995 |a Loaded with m2btab.ltiac in 2018.06 
995 |a Loaded with m2btab.ltiac in 2017.09 
995 |a Loaded with m2btab.elec in 2017.03 
995 |a OCLC offline update by CMU 
989 |d cueme  |e  - -   |f  - -   |g -   |h 0  |i 0  |j 200  |k 170302  |l $0.00  |m    |n  - -   |o -  |p 0  |q 0  |t 0  |x 0  |w SpringerLink  |1 .i109235381  |u http://ezproxy.coloradomesa.edu/login?url=http://link.springer.com/10.1007/978-3-319-49679-5  |3 SpringerLink  |z Click here for access